. , , ,

,,,

600 —

.

.

.

1

01.430127.001

.

.

.

. .

.

.

119

Ȕ

........................................................................................................... 4

1. ............................................................................ 7

1.1.   .............................................................................. 7

1.2.   .......................................................................................................... 8

1.3.   ............................................................................................... 9

1.3.1. ..................... 9

(Off-Line standby)....................................................................... 10

- (Line-Interactive)................................................................ 11

Ϡ (On-Line).................................................. 12

1.3.2. ................... 14

1.4.   ................................................... 18

1.4.1. .......................................................................................................... 18

1.4.2. ................................................................ 20

1.4.3. 300...................................................................................... 22

1.4.4. ............................................................................................................ 23

1.4.5. ....................................................................................................................... 23

1.4.6. .................................................................................................................. 24

1.5.   .................... 26

1.5.1. ................................................. 26

1.5.2. ............ 41

1.5.3. ......................................... 52

1.6. ............................................................................ 60

1.6.1. ............................................................................................................... 61

1.6.2. ........................................................................................................ 65

1.6.3. ............................................................... 69

1.6.4. .............................................................................................. 70

1.7. .......................................................................................................... 72

1.7.1. ................................................................................... 72

1.7.2. ................................................. 74

1.7.3. ...................................................................... 77

1.8. ....................................................................................................................... 78

1.9. ............................................................................................ 80

.

..

.

ϳ

.

3

01.430127.001

2. .................................................................................. 84

2.1.    ........................................................................................................................... 84

2.2.    ........................................................................................................... 85

2.2.1. .......................................................... 85

2.2.2. ............................................................................. 85

2.3. ........................................................................................ 91

2.3.1. ....................................................................... 92

2.3.2. ...................................... 93

2.3.3. ............................................................................... 96

2.3.4. ......................................................................... 97

2.3.5. ........................................................................................ 97

2.3.6. ................................................................................... 97

2.3.7. ............................................................................................ 98

2.3.8. ................................................................................................................... 98

2.4. ................................................................................................. 99

2.4.1. ....................................................................................................... 99

2.4.2. ..................................................................................................... 100

2.4.3. ................................................................................................................ 101

2.4.4. ....................................................... 101

3. ....................................................................................................... 104

3.1. ...................................... 104

3.2. ................................................... 105

3.3. ...................................................................................... 107

3.4. ................................................................................... 110

3.5. ............................................... 112

3.6. ............................................................................................................ 113

3.7. ............................................................................... 114

.......................................................................................................................................... 118

.............................................................................................................. 119


, . .

, , , , , . , , , , . , , , , , .

, ( , , , , ).

100 ( .. ) , , , - .. , , , . , , , , , ( , , ), , . , , . , , ( ), - . : , ; ; .

(- , , ) , . , , , . , , , , , , , .

( ). , 600 , . , .


1. .

1.1.        .

, , .

( , ).

, , :

- , , ;

- ;

- ( ), ;

- , - .

, . , :

- ;

- ;

- ;

- ;

- ;

- ;

- , .

, .

, , .

1.2. .

PW5125RM PW5115RM Powerware. 1000. .

. 1.2.1.

PW5125RM

PW5115RM

(/)

1000/900

1000/670

()

432*494*89

440*450*58

()

27

20

()

220-240

220-240

()

166-276

175-250

()

50/60

50/60

()

220-240

220-240

(%)

93

90

RS232

RS-232

0 40 0

0-40 0

()

50

45

(.)

7

5

. , , . .

1.3. .

1.3.1.

, , . .

:

1.     .

2.     - .

3.     .

(Off-Line standby)

. 1.3.1. Off-Line.

, , , . , (~4 ) , .

, , ( , , ) .

- (Line-Interactive) .

, (Off-Line), .

. 1.3.2. , Line-Interactive.

- , ( ) . . - (~4 ) .

- (Off-Line) , (On-Line). , - , , , . . , - , . , . , , -20% +20% 220 .

Ϡ (On-Line)

, , () (On-Line). .

. 1.3.1. , On-Line.

( ) .

.

On-Line , - , , ( , , , ), , .

(On-Line) , , PW5125RM Powerware. , , , 166 276 .

Bypass . Bypass, , . , , Bypass , . , . Bypass .

Off-Line . . , - , .

1.3.2. .

01.430127.001 1.

, . . , . , , .

(, , .) 220, 50. , , . , 20% . , ( , ).

, :

1.     .

2.     .

3.     .

4.     .

5.     .

6.     .

7.     .

8.     .

9.     .

10.                    .

11.                    .

12.                    .

13.                    .

14.                    .

, , . :

1.     , , . . , .

2.     , , - (). .

3.     , , .

ATTiny26. - . ( ) . , , . .

, , , ( ). 10,5 , . , . . . , .

. , . .

. () , . RS-232. ( ).

220, 50 .

, .

, . . . .

( 90 185 ). , .

220 200. . , , . . . 10,5...13,8 , .

220, 50. .

.

.

1.4. .

01.430127.0013.

, . .

1.4.1.

- .

IMS UC3842 Fairchild. IMS UC3842 . 1.4.1. .

VD1 220. VD1 306. IMS VC2 R41. DA1 W3 2. , W3 VD8 , 24, 25. IMS 12.

8 DA2 5. , R14C11 5.

. 1.4.1. UC3842.

6 DA2 (12), R27R29 VT1. VT1 , 4. VT1 W2, VT1 R30 . R30 . , 2. R28 DA2. . 1 DA2 . . .

2 , . ( ). , RC . . 1.4.2.:

. 1.4.2. RC-.

, , . , W1, 2 VD11 35, 36.

VD12-TL431.

R56, R57, R58 , , . R54 VD12 U1.2.

1.4.2. 

12 300. , U=13,8, U=300 U=10,5, U=225.

, U.

S63525, . 1.4.3.

. 1.4.3. SG3525.

( 14 11) 1. 0,9.

23R31 27R32 , .

. VT4, VT5 VT6, VT7 0,5. , . VD17, VD18, VD19, VD20 1L1, 24, 35. 3 9 18, . VD21 VD22 VD23 VD24.

VD1 . 7, R1 . R15. 12, 13 . 10 DA1.

1.4.3.  300

. UC3842. .

DA4, ( 6) 9, R18R33 VT2 , , L2 VT2 R34 . L2 . , R34, DA1 . . R11, R8, R9.

24, 35 DA4 , DA5 .

, 300, . , , 300. DA5 , , , DA3.

1.4.4. 

. C2 C4, C3 C5 ( VT13).

VT12, VT13. .

. VT12, VT13 (IGBT), . , DD1. , , VT12, VT13.

L4 .

1.4.5. 

. K1, . C52, C53 .

C56, L6, C59.

1.4.6.

DD1-ATTiny 261. . 1.4.4.

. 1.4.4. ATTiny26.

T4, . , , T5. . .

SA1 () DA6 . DA6 +5, .

, , , K2, . . , . , , .

. , , .

, , .

C54, C55, C56, L5, C58.

RS-232 (Com port). , .

HL1 , HL2 ~220”, HL3 10.5”.

1.5. .

1.5.1. .

- .

. 1.5.1 .

, .=100 , . , . , [5].

1.5.1.

.

85

.

270

50

.

100

.

1

13,8

0,05

100

0,84

.

10

12

1

.

:

;

, VD1:

;

:

;

C6:

;

: VDCminPK , VDCmin .

C6 :

;

, :

;

C6:

;

T2

, T2:

Dmax=0,5, .

VD7:

:

Epcos. E3211619.

. 1.5.2.

AL

24,4

AN

108,52

S

0,5

Ae

832

IN

64,6

( 100)

PV

190/

Bmax

0,2...0,3

m

30

:

Np 24 .

:

: VFDiode . NS=4 .

:

NAUX=4 .

:

T2:

:

Bmax ;

Np:

E3211619:

E3211619: BWmax=20,1 ; =4 .

:

:

0,5

0,45

0,05

: fCu=0,2.0,4. fCu=0,3:

T1:

dP=0.64 (22 AWG)

T1:

dS=2 x 0,8 (2x20 AWG).

:

dAUX=0,64 (22 AWG).

VD11.

:

:

, :

;

36.

Vout=0,5, : ncp=5.

:

C36:

2200 25.

: C23, R26, VD7

:

V(BR)DSS - .

(LLK) , . , 5% .

C23 :

23=470.

R26:

R26=1,2 .

VD1:

:

:

: P100=0,0172×2/.

:

:

:

VD11:

: C0=50 - ; RDSon=1,6 (150 0) - .

VDCmin=110;

:

f=100 .

:

- :

:

, TL431 VREF=2,5, IkAmin=1.

TLP521 VFD=1,2; IFmax=10;

UC3842 VRefint=5,5; VFBmax=4,8, RFB=3,7.

DA2:

;

DA2:

. 1.5.2.

. 1.5.2.

TL431.

R56:

R57=4,99, R58=5 TL431.

R54:

FPWR(p)

FLC(p)

KVD

KFB

Fγ(p)

Vout

-

+

Vin


. 1.5.3. .

DA2:

:

;

:

ZPWM ΔVFB / ΔlD;

:

RESR .

:

:

:

:

:

:

:

:

ton:

:

: RL=3,6 , LP=12,6 , fg=3000 , f0=76,18 .

;

:

GS(ω)+Gr(ω)=0, :

:

Gr(ω)=0-(- GS(ω))=17,2;

:

R55:

C37=0:

C37:

1.5.2. .

- rising transducer.

UC3842. .4.1.

UC3842 , , : - , , . , ( .)

. 1.5.4. - UC3842.

:

     ;

     ;

     ;

     ;

     ;

     ;

     .

, , . (.4.2). Ѡ 3 12 (.4.2).

) .

) .

. 1.5.5. UC3842.

UC3842 (. 4.3) 10 1. 100, .

. 1.5.6. , .

Rt Ct:

(4.1.2)

(4.1.2)

: f=100, - .

Ct = 0.01 0.0010.1 .

.

, , , , .

, .

, 2 IMC. . 40 . , , .

. 1.5.7. .

,

. 1.5.8. .

Rs (1) .

UC3842.

, 1. .

. 1.5.9. UC3842

.

( ), , . .

:

- U = 65...150 ;

- U = 150 ;

- DU = 5;

- = 300 ;

- fs = 100 .

.4.8.

. 1.5.10.

2:

in LF ³ 0 /(2pf V0η) (4.10)

堠 f (100 )

V0 (150 )

η=0.9

0 300

in LF = 300 / (23,14250000.9150) =82.7

330 400.

(C4) , , .

Cin HF = Irms /(2pfrVin min) (4.7)

堠 f (100 );

rms ;

Vin min (65 );

r , 3 9 %. r = 7%.

rms = out / Uin min; (4.8)

rms = 300 / 65 = 4,64 ;

in = 4,64/(2×3,14×100000×7×65) = 0.0065 .

0.01 400.

:

0 ³ 0 /(4pV0 DV0) (4.10)

堠 DV0 (5 )

f ( 100 )

V0 (150 )

0 300

0 = 300 / 43,141000005150 =63.7

220 400.

, , .

(4.11)

(4.12)

- s - / ;

- Lpk - ;

- f - ;

- V0 .

:

(4.13)

, :

(4.14)

- Vin min (65),

, s

s = (150 1,4165)/150 = 0,389

:

Lpk = (2×1,41×300) / 65 = 13

, :

L = (23000,389)/(132100000) = 15 .

.

, .

, 13 , 200 , 100 , 1531.

:

- U 400 ;

- = 1000Ѡ I 27 ;

- U 1,65 ;

- 160 .

, .

:

= I2R (4.15)

R (0.14 )

I , (13 ).

,

IGBT = 130.14 = 23.6 .

.

, , 300 .

:

= P/U

= 300/150 = 2A

,

Dm ≥ 1,2

UDm ≥ 1,2U

, , , MUR860. :

500 ;

8 ;

150 0.

1.5.3. .

.

.

, . , , , , , , (pulse repetition frequency, PRF). . . , .

. .

( , , ) : (common-mode) (differential-mode). , , . , . .

.

, .

, . , , . , , , . , . , , .

, , .

, , . . ; . , , .

.

. , . . ( ) LC-. . , , .

. / ( , , ).

.

, (H1 H2). .1.5.11.


. 1.5.11. .

, , . , , , .

, ( ) . . , , (/) . . , , .

. , .

. 1.5.12.

,

SHAPE * MERGEFORMAT

0.01

0.1

1

10

100

90

80

70

60

50

40

30

20

10

0

100

,

. 1.5.12. .

, Cx . , . , , , .

. , , .

, 24 .

:

Gζ ;

: fc , fsw- . fsw=100, Gζ= -24.

(ζ) 0,707. 3 .

: ζ , ζ=0,707, RL =50 - ,

≈0,1 400.

=0,22Ԡ 250 .

, , ≈2500 . , , 4 , 0,01 . =2,2 .

, , 60 500 10 .

, :


. 1.5.13. .

L5=L=450

55=58==0,22

54=56==3,3


.1.5.14. .

L6=L=450

54=56==3,3

57=59==0,22


1.6.

, , , , , .

.

, . - , . . , , , (, .).

: , ( , , . .) ; , ; , , .

, , , . , . .

1.6.1. .

, , , , . , , , , : , .

.

5%.

. 1.6.1

RC01

RC11

RC21

RC31

RC41

1206

0805

0603

0402

0201

1 1

10

1

5%

0.25

0.125

0.1

0.063

0.005

200

150

50

15

-55 +155 º

1%.

. 1.6.2

RC02H

RC02G

RC12H

RC12G

RC22H

1206

1206

0805

0805

0603

1 1

10

1

1%

0.25

0.25

0.125B

0.125

0.1

200

150

50

-55 +155 º

SMD . 1.6.3

L ()

W ()

T ()

()

0201

0.6

0.3

0.3

0.02

0402

1.0

0.5

0.35

0.06

0603

1.6

0.8

0.45

0.2

0805

2.0

1.25

0.55

0.55

1206

3.2

1.6

0.55

1.0

.1.6.1. .1.6.3. RC01 RC02H 1206 (.1.6.1).

SMD . . 1.6.4

XC0204

RWN5020

RWP5020

SMD MELF

SMD POW

SMD POW

0.2210

0.0031

10.1

0.1%...5%

1;2;5%

1;5%

1

1.6

1.6B

300

-55 +155º

.1.6.4. RWN5020 SMD POW (.6.2.).

= 1.5 .

= 1.2 .

= 4.7 .

.1.6.1. : RC01, RC02H 1206.

)

)

.1.6.2. :

) SMD MELF ; ) SMD POW

PVZ3A Murata . 1.6.3.

PVZ3A.

. 1.6.5

0.1 50

50V

-25C85C

30%

230 10

1002

()

500ppm/C

20-200 ./

.1.6.3. PVZ3A.


1.6.2 .

, , , , :

- ;

- ;

- ;

- ;

- ;

, .

SMD . . 1.6.6

1

2

04021210

04022220

U

50; 200;500;1;3

25; 50 ; 100; 200; 500;1;2;3

1 ԅ10 ;1ԅ10

1 ԅ1 ; 1ԅ10

( % )

<10 :

0.1

0.25

0.5

≥10 :

1 %

2 %

5 %

10 %

5 %

10 %

20 %

Δ/Ѡ

-

15 %

-55+125º

-55+125º

tg δ

<1.10-3

<25.10-3

<35.10-3 (16)

25 º

> 105

> 105

125 º

-

> 104

25 º

> 1000

> 1000

125 º

> 100

> 100

SMD . 1.6.6

0402

1005

06032

1608

0805

2012

1206

3216

1210

3225

l

1.50.1

1.60.15

2.0.02

3.20.2

3.20.3

b

0.50.05

0.80.1

1.250.15

1.60.15

2.50.3

s

0.50.05

0.80.1

1.35max

1.3max

1.7max

k

0.1-0.4

0.1-0.4

0.13-0.75

0.25-0.75

0.25-0.75

.1.6.6., SMD 1- , 1206 (.1.6.4.).

= 1.5 .

= 1.2 .

= 4.7 .

SMD 1206.

Hitano, ECR.

ECR:

6.3100

160460

0.4710000

0.47220

-40+85

-25+85

<0.01CU

<0.03CU

20% 20, 120

(tgs),

U,B

16

25

35

50

63

100

200

350

400

tgs(D4-6.3)

0.16

0.14

0.12

0.1

0.1

0.08

0.18

0.2

0.2

( 120).

U,B

16

25

35

50

63

100

200

350

400

Z(-25C)/ Z(+20C)

2

2

2

2

2

2

2

2

2

Z(-40C)/ Z(+20C)

4

4

3

3

3

3

. 1.6.8

/B

16

25

35

50

63

100

200

350

400

1

5´11

5´11

5´11

5´11

6´11

6´11

2.2

5´11

5´11

5´11

6´11

6´11

8´12

4.7

5´11

5´11

5´11

8´12

8´12

10´13

10

5´11

5´11

5´11

5´11

5´11

6´11

10´16

10´13

10´13

22

5´11

5´11

5´11

5´11

6´11

6´11

10´21

10´13

10´16

33

5´11

5´11

5´11

6´11

6´11

8´12

13´21

10´21

10´21

47

5´11

5´11

5´11

6´11

6´11

10´13

13´21

13´21

13´26

100

5´11

6´11

6´11

8´12

10´13

10´21

16´26

16´32

16´32

220

6´11

8´12

8´14

10´13

10´16

13´26

18´36

18´41

330

8´12

8´14

10´13

10´17

10´20

13´26

470

8´12

8´14

10´16

13´21

13´26

16´26

1000

10´16

10´21

13´21

13´26

16´25

18´41

2200

13´21

13´21

16´26

16´36

18´36

3300

13´26

16´26

16´32

18´36

22´41

4700

16´26

16´32

18´36

22´41

25´41

.1.6.5. .

D

5

6

8

10

13

16

18

22

25

P

2.0

2.5

3.5

5.0

5/0

7.5

7.5

10

12.5

d

0.5

0.5

0.5

0.6

0.6

0.8

0.8

1.0

1.0


1.6.3

Epcos.

, , DB36-10-47, DST4-10-22, FMER-K26-09.

. . 1.6.9

Q

.

.

.

L

Q

DB36-10-47

15020%

46

100

2.520

0.02

12.80

14.20

DST4-10-22

4720%

42

100

2.520

0.01

12.20

15.50

FMER-K26-09

6020%

56

100

2.520

0.12

8.2

10.4

TS40-15-2, KERBIP-2-K20, TS300-12-K28, TS12-300-K32 -40+45.


1.6.4

STMicroelectronics .1.6.10.

. 1.6.10

1531

GT15Q101

BC556

IRFP150

IRFD123

2N2907

792

-

500B

1200

80

100

80

-60

900

(-)

500B

1200

65

100

80

-40

900

- (-)

30B

20

5

20B

20B

-5

20B

15A

15

100

43A

1.1

-600

3A

-

60A

30

200

170A

4.4

-1.2

5A

(-)

2

20

150B

150

0.5

193

1.5

200

100

()

1480

1800

10

1750

450

30

800

400

3

420

200

8

250

()

150C

150

150

175

150

150

150

Fairchild International Rectifier.

. 1.6.11

U .

.,

,

F .,

PSOF107

300

0.3

0.005

40

1N4937

600

1.5

2

150

LL4148

100

0.2

0.005

300

LL414P

60

0.5

0.01

300

MUR860

600

10

20

200

MUR31

800

8

2

10

RUR30100

1000

30

1

300

Unitrode, National Semiconductor, Intersil, STMicroelectronics.

UC3842 Unitrode, SG3525 STMicroelectronics.

STMicroelectronics.

. 1.6.13

,

,

,

,

78M05ST

+30

+5

1.2

-55+125


1.7. .

1.7.1. .

, , . 1.7.1.

. . 1.7.1.

N, .

L,

,

D,

S=L*, 2

N .

S*N,2

d,

0.25...0.5

119

4.7

1.5

7.05

838.95

1...2

10

12

5

60

600

0.85

3

3.1

3.6

11.16

33.48

37

4.7

1.5

7.05

260.85

14

16

200.96

2813

8

20

314

2512

17

25

40

1000

17000

1.0

8

4.7

1.5

7.05

56.4

0.6

16

15

20

300

4800

1.2

5

4.7

2

9.4

47

IMC SMD

6

14

12

168

1008

IMC DIP

5

10

8

80

400

1.0

6

42

22

924

5544

1.2

3

15

176

530

1.0

2

70

60

4200

8400

1.2

4

30

10

300

1200

1.2

2

50

20

1000

2000

1.0

6

20

10

200

1200

0.85

. 1.7.1. S=492332, , =1,2

, , , , : =0,9,

, , . , 3, S=100(2).

:

L=300(), :

=216().

1.7.2. .

, , , :

m=0,05().

:

=0,2().

, , :

d1=0,5 ()

d2=0,6 ()

d3=0,8 ()

d4=0,85 ()

d5=1()

d6=1,2 (),

:

, . =0,55, =0,1, :

, , 1.7.2.

. 1.7.2.

,

,

,

,

,

1

0,5

1

2,2

1

2,2

2

0,6

1,1

2,3

1

2,2

3

0,8

1,3

2,5

1,2

2,5

4

0,85

1,35

2,55

1,2

2,5

5

1

1,5

2,7

1,5

2,8

6

1,2

1,7

2,9

1,8

3


1.7.3. .

, J=30(/2), =8(), m=0,05(), :

, . , , 450(), 1,8().


1.8. .

.

, :

=+++ (1.8.1)

: , ;

;

;

;

.

, , (), , . , , , :

=+., (1.8.2)

=RDS(on)I2. (1.8.3)

(1.8.4)

(1.8.5)

i=I/n. (1.8.5)

IL=3/0,98=3,06(A).

, (1.8.6)

t 35 .

Rja - 75 /.

.

, , . , [10] . 221.


1.9. .

- .

, , , .

, tp. , , tp, :

(1.11.1.)

, , :

(1.11.2)

, m , :

(1.11.3)

:

1. , , , λ :

(1.11.4)

: m .

1.11.1.

. 1.11.1

-

1.

0.125-0.5

堠 1.0-2.0

82

10

3

0.4

1.0

2.5

0.42

0.42

0.42

13.78H10-6

4.2H10-6

3.15H10-6

2.

37

22

1.2

2.2

0.1

0.4

4.44H10-6

19,36H10-6

3.

17

1.7

0.35

11.56H10-6

4.

8

16

5

3

0.7

5.0

2.4

2.8

0.81

0.81

0.81

0.81

4.54H10-6

64.8H10-6

9.72H10-6

6.8H10-6

5.

6

0.01

1.0

0.06H10-6

6.

6

1.0

1.0

6.0H10-6

7.

3

2

0.1

3.0

1.0

1.0

0.3H10-6

6.0H10-6

8.

4

0.5

1.0

2.0H10-6

9.

1

1.1

1.0

1.1H10-6

10.

2

1.7

0.35

1.19H10-6

11,

2

1.0

1.0

2.0H10-6

12.

1

0.1

0.1

0.01H10-6

11.

910

0.01

1.0

9.1H10-6

12.

1

1.0

1.0

1.0H10-6

13.

24

0.02

1.0

0.48H10-6

2. (1.11.5)

(1.11.5)

3. :

(1.11.6)

4. :

(1.11.7)

5. (1.11.1):

H (1.11.1.)

堠 - ;

- ;

- .

1.11.2.

. 1.11.2

1.

2.

3.

4.

5.

6.

0

101

102

103

104

105

0

-0.001759

-0.017590

-0.175900

-1.759000

-17.59000

1

0.9982

0.9825

0.8394

0.1737

0.0002

6.

. 1.11.1.

.


2. .

- . :

1. .

2. .

3. .

4. .

5. .

6. .

7. .

, .

2.1. .

220, , - , , .

. 1000 . . PW5115 Powerware, .


2.2

2.2.1. .

. , , . , , .

:

1. .

2. .

3. .

4. .

5. .

2.2.2. .

, , . 1, 2 .

2.1.1


. 2.2.1.

,

, Ri

Di

Di2

1

2

3

4

5

1

4

3

4

3

3

17

2

4

2

2

1.5

1

2

1

7.5

-7.5

56.25

3

3

4

2,5

4

4

17.5

2.5

6.25

4

1

1.5

2,5

1

2

8

-7

49

5

5

5

5

5

5

25

10

100

15

15

15

15

15

75

0

215.5

: (2.2.1)

(2.2.2)

. .

:

(2.2.3)

(2.2.4)

:

N

n

, W=1 . W. . W=0,77

.

- :

.=åφ q (2.2.5)

:

φ () .

q i .

, :

q = ͳ/ (2.2.6)

q = / ͳ (2.2.7)

, :

q =lg(ͳ/ )+1 (2.2.8)

q =lg(/ ͳ)+1 (2.2.9)

: ͳ , - - .

PW5115 Powerware.

2.2.2.


. 2.2.2.

q

1 MACROBUTTON TrVar1|1 *

,

0...24

0... 30

1.25

2 MACROBUTTON TrVar2|2 *

0.85

0.89

1,05

3 MACROBUTTON TrVar3|3 *

,

240

300

1.25

4 MACROBUTTON TrVar4|4 *

,

50...60

50...60

1.0

5 MACROBUTTON TrVar5|5 *

,

10

10

1.0

. , , . 5 .

> 1.5

< 0.5

= 1.

, .


. 2.2.3

1 2 3 4 5

1 2

<

=

<

<

=

<

0.5

1 3

=

<

>

<

<

<

0.5

1 4

<

<

=

<

<

<

0.5

1 5

<

>

>

>

=

>

1.5

2 3

<

<

<

<

<

<

0.5

2 4

>

>

=

>

=

>

1.5

2 5

>

>

=

>

>

>

1.5

3 4

<

=

<

<

<

<

0.5

3 5

>

>

>

=

>

>

1.5

4 5

=

>

>

>

>

>

1.5

:

1- : bi - ( );

Kbi=bi/åbi; (2.2.10)

2- : bi1:

bi1=ai1*b1+ai2*b2+.+ain*bn (2.2.11)

2.1.4


. 2.1.4.

1

2

3

4

5

1-

bi φi

2-

bi φi

1

1

0.5

0.5

0.5

0.5

3

0.12

14

0.12

2

1.5

1

1.5

1.5

1.5

7

0.28

34

0.3

3

1.5

0.5

1

0.5

1.5

5

0.2

22

0.19

4

1.5

0.5

1.5

1

1.5

6

0.24

27.5

0.24

5

1.5

0.5

0.5

0.5

1

4

0.16

17.5

0.15

25

1

115

1

:

φi=bi/åbi (2.2.12)

bi=åaij (2.2.13)

: bi -

:

φi=bi/åbi (2.2.14)

bi=ai1b1+ai2b2+...+ ainbn (2.2.15)

: bi -

..=0.12*1.25 +0.3*1.05+ 0.19 *1.25+ 0.24 *1.0+0. 15*1.0=1.1

, 1,1.

2.3. .

, , .

2.3.1 .

, - . 2.3.1

. 2.3.1.

,

.

.,

,

-61

0.30

7

2.1

-

-2-15

0.7

30

21

-0.75

1

0.15

0.15

-0.5

1,5

0.5

0.75

-0.35

0.7

0.3

0.21

-1,5

1.5

1.3

1.95

3-1.5

1

5

5

-3

3.1

6.2

19.22

-1

0.10

10

1.0

0.1

8

0.8

-115

0.35

7

2.45

54.63

,5%

2.73

- , 10%

5.46

62.82


2.3.2.

.

, . . 2.3.2

. 2.3.2.

-

, .

, .

RC01-1206 5%

64

0.05

3.2

RC02H-1206 1%

18

0.05

0.9

RWN5020-1.6 5%

9

1.60

14.4

RWN5020-1.6 1%

3

1.80

5.4

PVZ3A 20%

3

0.70

2.10

TR1223 5%

1

1.1

1.1

ECR-400B-100

4

6.00

24

ECR-25B-1000

3

1.40

4.20

ELV-25B-22

14

0.60

8.40

X7R-1206-50B

20

0.10

2

X7R-1206-3

8

0.90

7.2

X7R-1206-400B

10

1.20

12

UC3842

3

7.80

23.4

UA723

1

3.30

3.30

SG3525

1

3.30

3.30

7805ACD2T

1

1.00

1

ATTiny26

1

14.30

14.3

K1531

2

0.20

0.4

K792

3

0.20

0.6

IRFP150

4

7.20

28.80

IRFD123

2

4.1

8.2

2N2907

2

3.2

6.4

GT15Q101

2

12.5

25

BC550B

4

2.4

9.6

RUR30100

2

3.70

7.40

PBU607

1

4.10

4.10

LL4148

4

0.10

0.40

1N4937

4

0.40

1.6

HFA16TB600

4

6.80

27.20

BZV55C9.2V

2

0.20

0.40

BZV55C3.342V

2

0.20

0.40

BZV55C18V

2

0.20

0.40

TPL921

2

1.15

2.30

TPL559

2

1.25

2.50

4N35

3

1.25

3.75

DST4-10-22

3

5.70

17.10

FMER-K26-0.9

3

6.20

18.60

TS200-3-2-X20

1

4.10

4.10

KERMOP-2-K20

1

2.00

2.00

TS110-30-K28

1

12.30

12.30

TSI-40A-3-X20

1

6.20

6.20

AJR3221

2

10.25

20.30

SN-6-1

3

1.90

5.70

DB-9-1

1

1.80

1.80

AN-6-2

1

0.85

0.85

127-6-100

1

1.50

1.50

ZP-20-50

2

1.40

2.80

BP-6.3A-250B

1

0.40

0.40

28.128.064

4

0.50

2.00

Yuasa12A-7Ah

4

4

356.5

- .10%

35.65

392.15


2.3.3. .

, .

..t (2.3.1)

: - .

t .

. . - , 2.3.3.

. 2.3.3.

.

, ./.

, .

, .

1

3

2.6

3

7.8

2

3

2.6

2

5.2

3

4

2.8

6

16.8

4

5

3.2

4

12.8

5

3

2.6

5

13

6

5

3.2

6

19.2

74.8

(20%-60%)

37.4

112.2


2.3.4. .

. 30-40 %.

..=0.30×.. (2.3.3)

: ..- .

..=0.30×112.2=33.66 .

2.3.5. .

23.01.2006 . 37.8% ୭ .

..=0.378( . + .) (2.3.4)

..=0.378(112.2+33.66) = 55.13 .

2.3.6. .

, , , , : , , . . (

..= (2...3)×. (2.3.5)

..= 2 ×112.2 = 224.4

, 880.36 .

2.3.7. .

100200%:

.=1×. (2.3.6)

.=1×112.2= 112.2

2.3.8. .

( 2,5 - 5,0%). = 0.025×880.36=22

.

2.3.4.

. 2.3.4.

, .

, %

1.

.

62.82

6.19

2.

, , .

392.15

38.65

3.

.

112.2

11.5

4.

.

33.66

3.31

5.

.

55.13

5.43

6.

.

224.4

22.11

880.36

86.77

7.

.

112.2

11.5

8.

22

2.2

.

1014.56

100

, : 1014.56 .

2.4. .

, . .

2.4.1. .

( , , , , .

 

(2.4.1)

(2.4.2)

 

: , .;

, .;

20%.

, :

.

2.4.2. .

( ,

(2.4.3)

: , 3000 .;

2.4.3. .

( .

:

,

2.4.4.

.

:

(2.4.4)

, ;

X=150 ./;

-

:

(2.4.5)

, :

(2.4.6)

.

, :

(2.4.6)

:

(2.4.7)

. 2.4.1 .

, , , .

1014.56 .

-

-

-

, - .


3. .

, . , .. , , . , , . , , , .

3.1. .

, , ( ), :

1.            ( , II-4-79/85);

2.            ( 12.2.006-87);

3.            ;

4.            , 12.1.005-88 3.3.6.042-99;

5.            , (- () , 12.1.005-88 12.1.007-80);

6.            ( , ) 3.3.6.037-99;

7.            ( , 12.1.002-88);

8.            ( 3.3.2.007-98).

3.2. .

, : (). , . . . . . , . - . .

, :

- ࠠ = 6 ;

- b = 5 ;

- ࠠ h = 2,60 .

.

a, b, h, :

S > i = a × b = 6 × 5 = 30 (.) - ;

Sn = 6.2 (.) .

S = Si - Sn = 30 6.2 = 23,8 (.)

V = S × h = 23,8 × 2,60 = 61,88 (.)

, , :

S1 = S2 = S / 2 = 23,8 / 2 = 11,9 .

V1 = V2 = V / 2 =61,88 / 2 = 30,94 .

, . .

. 1.

, S

6 . .

11,9 .

, V

15 . .

30,94 .

, , , , 245-82 24-86.

3.3. .

-4-79/85 ( ()) 0.3 0.5 () 2%.

-4-79/85. , , . , . 0.8 .

. IV. -4-79, ( = 2), ( ()).

(IV ) :

: ;

m , m = 0.9;

, = 0.75, , 136 ... 225.

:

: Es- , , ;

g , g = 0.75 20.

R , .

r1 , , , , , , r;

t0 , ;

k3 , k3 = 1.3.

, , , , .

:

: n1 (n1 = 8);

n2 (n2 = 30).

:

: ln , ln = 100 ;

, = 20 ;

l , l = 95 ;

, = 50 ;

, = 3 ;

h , h = 4 .

.

z1 z2, R , :

R = 0.22

:

: 1, 2, 3 , , .

(1 = 0.7, 2 = 0.5, 3 = 0.1);

S1, S2, S3 , , (S1 = 110 2, S2 = 210 2, S3 = 110 2)

r1, , :

= 0.46;

r1 = 5.4;

:

: τ1 , τ 1 = 0.8;

τ 2 , . τ 2 = 0.7;

τ 3 , , τ 3 = 1;

τ 4 , . , , τ 4 = 0.9;

τ 5 , , τ 5 = 1.

, , , :

(= 5; = 22)

(8.3.1)

.

3.4. .

. :

-      65;

-        = 465 ;

-        02 ( 65 );

-        N = 12;

-        h = 3,3 ( ).

:

:

n ;

m , , m = 1.2;

m , m = 6;

, - (), ;

Գ , , = 1 ;

k3 , , k3 = 1.5;

, = 8.4 ;

- ,

- ,

:

:

( I (), ) 300 . , II-4-79.

3.5. .


(). , 20...40. 0,02...0,04/100 .

, - -61 21931-76. 1797-64. . (Sn) 60-62% (b) 38-40%.

(232) 15-28%, (25) 72-85%.

( 1), 12.1.005-88. 0,01/3. ( 3). 10/3. ( 4). 1000/ 3..

:

C = 0,6 × A × B × t × N / V,

:

A- ;

B - ;

N - ;

V - , 3;

t - , .

:

A = 0,04 / 100 ,

B=5, t = 1,2 , N = 2, V = 50,44 .

:

= 0,6 × 0,04 × 5 × 1,2 × 2 / 50,44 = 0,005709 /.

, , 0,01/. , , .

3.6. .

, , 24-86 -87 , 75%, 35 0, .

, 220 50 . .

:

- Prime Medio 80 - 1 .;

- Samsung 730BF () 220  - 1 .;

- 220   - 1 .

.

, 2 . , . . 1,75 . , , , . , .

. . , , . , r ( ) rn=4 , r0=1,0 .

:

1. ;

2. , - .

. :

I = U / (rn + Z/3),

rn - ,

rn = r +r0;

Z/3 ;

U = 220 , r = 0,8 , r0 = 1,0 . , Z/3 = 0,12 .

= 220 /( (0,8 + 1,0) +0,12) =121,6

I , .

> 1.4 ×

I < 86,8 .

1,5 2.

, . -12.2.007.0-75 ( - II ) I , 12.1.009-76. . .

3.7. .

24 86 "".

-II .

, , . , , , .

:

1.           ;

2.           .

3.           .

, , :

- ; ;

- .

2.01.02-85 2.09.02-85 , , .

, (, , 2 15=302 ).

4 12.4.009-75 .

, , 15 2, 302, 19,4 2.

:

- -3 1 .;

- -1 1 .

ISO3941-77, 1002 . , , , , , . ( 70%, 30%) -3, -1 , , , .

, 12.4.009-75 ISO3941-77.

.0.001-95 .

. 2.01.02-85, 2.09.02-85.


, , .

, , , Line-interractive, , , .

, , , .. , . , .

, , , .

, .

.

1.     .. , .. , .. , 2001.

2.     .. AVR Atmel.-.: , 2002 176 .: .

3.     3169 - 95 ( 23585-79) .

4.     3413-96 .

5.     www.fairchild.com K. Zeeman and V. Wadoock Calculation PWM supply, 2004.

6.     .. ? ! .1. .: ͔, 2002. 336 ., .

7.     / .. , .. , .., .. . .: ϲ, 1993. 20 .

8.     .., .., .. . : . 2- . .: , 2001. 344 .: .

9.     .. . , , 1996 . 176 .: .

10.                       . . . / . . , . . . .: , 1990. 240 .

11.                       27.003-90 . .

12.                       .. . .: -, 2001. 334 .: .

13.                       . .

. . . 1

 

 

 

! , , , .
. , :